Jinsi ya Kubuni na Kuendesha Mchoro rahisi wa ngazi ya kopo ya Garage ya Viwanda Kutumia RSLogix 5000

Orodha ya maudhui:

Jinsi ya Kubuni na Kuendesha Mchoro rahisi wa ngazi ya kopo ya Garage ya Viwanda Kutumia RSLogix 5000
Jinsi ya Kubuni na Kuendesha Mchoro rahisi wa ngazi ya kopo ya Garage ya Viwanda Kutumia RSLogix 5000
Anonim

Seti hii ya maagizo itawaruhusu mafundi umeme, mafundi, na wahandisi wenye uzoefu kutumia watawala wa RSLogix 500 lakini uzoefu mdogo au hakuna uzoefu na Allen Bradley RSLogix 5000 watawala wa mantiki wanaoweza kupanga na kuendesha mchoro rahisi wa ngazi ya kopo ya karakana ya viwandani. Fuata kwa karibu ili usiwe na shida yoyote ya kujaribu au kuendesha programu yako.

Hatua

Sehemu ya 1 ya 4: Kuelewa Mpangilio wa Mlango

Mojammeh1
Mojammeh1

Hatua ya 1. Panga mpangilio wa muundo unaoujenga

PLC na Kiolesura cha Kifaa cha Shamba
PLC na Kiolesura cha Kifaa cha Shamba

Hatua ya 2. Tumia usanidi wa PLC na wiring ya kifaa cha shamba iliyoonyeshwa

Sehemu ya 2 ya 4: Kuunda Mradi Mpya Kutumia RSLogix 5000

Hatua ya 1. Unda mradi mpya uitwao "kopo ya Garage ya Viwanda"

  • Pata "Rockwell Software" kwenye kompyuta yako.
  • Bonyeza "RSLogix 5000 Enterprise Series" kufungua faili mpya ya mtawala.
  • Bonyeza mara mbili kwenye Faili kufungua faili mpya kwa kidhibiti. Kitufe cha "Faili" kiko kona ya juu kushoto mwa programu.

    Tenneng 1
    Tenneng 1
  • Bonyeza na uchague aina ya CPU "1756-L62 ControlLogix 5562" kutoka kwenye orodha.

    Tenneng 003
    Tenneng 003
  • Ingiza jina la mradi "kopo ya Garage ya Viwanda".
  • Bonyeza na uchague aina ya chasisi "1756-A7 7slot Controllogix 5562" kutoka kwenye orodha.
  • Chagua eneo unalotaka faili yako kupitia Unda.
  • Bonyeza OK ili kukamilisha usanidi wa mradi.

Hatua ya 2. Unda usanidi wa anwani ya pembejeo / pato la ulimwengu halisi

  • Bonyeza Aina za Takwimu na kisha ongeza moduli kwenye usanidi wa "I / O".
  • Bonyeza kulia kwenye "Moduli mpya" na kisha bonyeza kidigitali kuonyesha moduli.
  • Ongeza Moduli ya Kuingiza.

    • Bonyeza na uchague "1756-IB16I" kutoka kwenye orodha.
    • Bonyeza Sawa kufungua "Dirisha la Moduli ya Kuingiza".

      Tenneng 04
      Tenneng 04
    • Ingiza jina "Input_DC".

      Tenneng 005
      Tenneng 005
    • Bonyeza na uchague Slot "3" kutoka kwenye orodha.
    • Bonyeza na uchague "Sambamba inayofaa".
    • Bonyeza sawa kukamilisha.
  • Ongeza Moduli ya Pato.

    • Bonyeza na uchague "1756-OB16I" kutoka kwenye orodha.
    • Bonyeza OK kufungua "Dirisha la Moduli ya Pato".

      Tenneng 006
      Tenneng 006
    • Ingiza jina "Output_DC".

      Tenneng 07
      Tenneng 07
    • Bonyeza na uchague Slot "5" kutoka kwenye orodha.
    • Bonyeza na uchague "Sambamba inayofaa".
    • Bonyeza sawa kukamilisha.
Tenneng 0010
Tenneng 0010

Hatua ya 3. Unda lebo

Kuna njia nyingi za kuunda vitambulisho. Fungua vitambulisho vya "Mdhibiti" au dirisha la vitambulisho vya "Programu Kuu". Lebo zinaweza kujengwa moja kwa moja unapopanga, au vitambulisho vinaweza kuundwa kwenye Kicheki cha Tag. Mhariri wa lebo hukuwezesha kuunda na kuhariri vitambulisho kwa kutumia mwonekano wa lahajedwali kama vile vitambulisho.

  • Bonyeza Programu Kuu.
  • Bonyeza mara mbili kwenye "Programu Tag" na kisha bonyeza "Hariri Lebo".
  • Chagua kiini cha kwanza na uingie "Jina la Lebo".
  • Chagua kiini cha pili na uingie "Alias For".
Tenneng 0002
Tenneng 0002

Hatua ya 4. Unda kipima muda

Vipima muda vinaweza kuunganishwa kwa kila jina la lebo. Kuweka vigezo vya muda na data ya wakati wa anwani ni muhimu.

  • Bonyeza na uchague kwenye "Programu Tag" na kisha bonyeza "Hariri Tag".
  • Chagua kiini cha kwanza na uingie "Vipima muda".

Sehemu ya 3 ya 4: Kuunda Mchoro wa Ngazi

Modou 77
Modou 77

Hatua ya 1. Tazama ikoni ya "Kielelezo cha Maagizo ya Maagizo ya Msingi" iliyoko kwenye mwambaa zana wa programu

MainRoutine
MainRoutine

Hatua ya 2. Unda mchoro wa ngazi kwa Rung 0

Unda safu ambayo inafungua na kufunga mlango wa nje na kuamsha swichi ya juu ya kikomo..

  • Bonyeza mara mbili kwenye MainRoutine na kisha dirisha jipya litaibuka. Aikoni ya maagizo iko juu ya dirisha jipya.
  • Bonyeza na buruta ikoni ya maagizo ya Rung kwenye dirisha jipya.
  • Bonyeza na buruta ikoni ya maagizo ya XIC kwenye safu. Angazia mafundisho na andika Open_Outside_Garage_Door na bonyeza ↵ Enter.
  • Bonyeza na buruta ikoni ya maagizo ya XIO kwenye safu. Angazia mafundisho na andika Stop_Outside_Door na ubonyeze ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya XIO kwenye safu. Angazia mafundisho na andika Top_Limit_Switch na ubonyeze ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya OTE kwenye safu. Angazia mafundisho na andika Motor_Up na bonyeza ↵ Ingiza.

    Tenneng 3
    Tenneng 3
Modou 89
Modou 89

Hatua ya 3. Unda mchoro wa ngazi kwa Rung 1

Unda safu ambayo inafunga na kusimamisha mlango na kuamsha swichi ya kikomo cha chini. Pichaensor hutumiwa kugundua ikiwa kitu kinazuia mlango wakati unafunga; ikiwa ni hivyo, inaacha kufunga mlango.

  • Bonyeza na buruta ikoni ya maagizo ya XIC kwenye safu. Angazia mafundisho na andika Funga_Ndani_ya_Garaji_kwa Ndani na ubonyeze ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya XIO kwenye safu. Angazia mafundisho na andika Stop_Inside_Door na ubonyeze ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya XIO kwenye safu. Angazia mafundisho na andika Bottom_Limit_Switch na ubonyeze ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya XIO kwenye safu. Angazia mafundisho na andika Stop_Inside_Door na ubonyeze ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya XIO kwenye safu. Angazia mafundisho na andika Photo_Sensor na bonyeza ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya OTE kwenye safu. Angazia mafundisho na andika Motor_Down na ubonyeze ↵ Ingiza.
  • Bonyeza na uburute ikoni ya maagizo ya Tawi na kisha uweke chini Funga _ Ndani ya Garage_Door. Angazia ikoni ya maagizo ya tawi na andika Motor_Down na bonyeza ↵ Ingiza.
Modou 90
Modou 90

Hatua ya 4. Unda mchoro wa ngazi ya Rung 2

Unda safu ambayo inawakilisha taa ya kijani ILIYO wakati mlango unafunguliwa ndani ya karakana; taa inabaki KUWASHWA hadi mlango uwe wazi kabisa na kugonga kubadili kikomo cha juu.

  • Bonyeza na buruta ikoni ya maagizo ya XIC kwenye safu. Angazia mafundisho na andika Motor_Up na bonyeza ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya OTE kwenye safu. Angazia mafundisho na andika Green_Light_Inside_Garage na ubonyeze ↵ Enter.
  • Bonyeza na buruta ikoni ya maagizo ya Tawi na kisha uweke chini ya Motor_Up. Angazia ikoni ya maagizo ya tawi na andika Timer_1_TT na ubonyeze ↵ Ingiza.
Modou 91
Modou 91

Hatua ya 5. Unda mchoro wa ngazi ya rung 3

Unda safu ambayo inawakilisha wakati (Wakati wa Kuchelewa) wa taa ya kijani kubaki WAKI kwa sekunde 10 za ziada hadi itakapofika kwenye kiboreshaji cha juu cha kikomo.

  • Bonyeza na buruta ikoni ya maagizo ya XIO kwenye safu. Angazia mafundisho na andika Bottom_Limit_Switch na ubonyeze ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya TON ndani ya safu. Tani iko katika mafundisho ya Timer / Counter. Angazia TON "Timer" na andika Timer_1 na bonyeza ↵ Ingiza. Angazia "Preset" na andika 10, 000 na bonyeza ↵ Ingiza.
Modou 92
Modou 92

Hatua ya 6. Unda mchoro wa ngazi ya Rungs 4 & 5

Unda njia ambazo zinadhibiti muda wa taa nyekundu.

  • Bonyeza na buruta ikoni ya maagizo ya XIO kwenye rung 4 na onyesha maagizo na andika Timer_3. DN kisha bonyeza ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya TON ndani ya rung 4. TON iko katika mafundisho ya Timer / Counter. Angazia TON "Timer" na andika Timer_2 na bonyeza ↵ Ingiza. Angazia "Kuweka mapema" na andika 500 na bonyeza ↵ Ingiza.
  • Bonyeza na uburute ikoni ya maagizo ya XIO kwenye rung 5 na onyesha maagizo na andika Timer_2. DN kisha bonyeza ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya TON ndani ya safu 5. TON iko katika mafundisho ya Timer / Counter. Angazia TON "Timer" na andika Timer_3 na bonyeza ↵ Ingiza. Angazia "Kuweka mapema" na andika 500 na bonyeza ↵ Ingiza.
Modou 93
Modou 93

Hatua ya 7. Unda mchoro wa ngazi ya Rung 6

Rung hii hupa taa ya taa nyekundu ikiwa kuna kitu kimegunduliwa; taa itaendelea kuwaka hadi kitu kiondolewe.

  • Bonyeza na buruta ikoni ya maagizo ya XIC kwenye safu. Angazia mafundisho na andika Photo_Sensor na bonyeza ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya XIC kwenye safu. Angazia maagizo na andika Timer_2. TT na bonyeza ↵ Ingiza.
  • Bonyeza na buruta ikoni ya maagizo ya OTE kwenye safu. Angazia mafundisho na andika Red_Light_Flasher na ubonyeze ↵ Ingiza.

Sehemu ya 4 ya 4: Jaribu na Uendeshe Programu hiyo Nje ya Mtandao

Hatua ya 1. Jaribu na programu ya emulator kupata shida kabla ya programu kutumika katika Mfumo wa Udhibiti au Njia ya Kukimbia ya Mstari

Bonyeza ikoni ya Thibitisha Yote ili uangalie programu yako kwa makosa ambayo yatazuia programu kujaribu au kufanya kazi. Mara tu nambari yako ya kuthibitishwa, eee zitatoweka kutoka upande wa kushoto wa barabara

Hatua ya 2. Bofya kwenye Njia ya Kukimbia ya Mstari iliyoko kona ya juu kushoto ya programu

Jaribu na kukimbia
Jaribu na kukimbia

Hatua ya 3. Angalia matokeo

Wakati hali ya kukimbia imeamilishwa, processor huhamisha hali ya pembejeo zote halisi kwenye kumbukumbu ya meza ya picha ya pembejeo. PLC kisha huanza kuchanganua kila safu katika mpango wa ngazi kuanzia rung 0. Inalinganisha kila kipengee cha pembejeo cha kila rung na inaweka pato la Rung juu au kuzima kulingana na mantiki ya rung.

Ilipendekeza: